Tag: 系统 verilog dpi

如何使用DPI-C嵌入Systemverilog Interpreter?

问题描述 : 我在SystemVerilog中设计并使用相同的语言编写测试平台。 我希望能够在模拟过程中编译我的设计和测试不同的function,就像你使用e的解释器一样。 理想情况下,当模拟器撞到某条线时,我会在模拟时弹出一个终端弹出窗口。 潜在的想法 : 我看过DPI-C,似乎我必须“导出”项目中的所有任务才能从解释器运行它们。 但是,我不确定如何自动执行此操作或者是否有更好的方法。 此外,我不知道如何让C为我打开第二个shell来输入SystemVerilog(我想要运行)。 这是一个问题与我的同事相呼应,并且在编译一个测试平台之间不必等待10分钟会让生活变得更加痛苦。