Tag: system verilog dpi

C中对“main”的未定义引用

嗨我在使用gcc编译交流代码时遇到错误 /usr/lib/gcc/x86_64-redhat-linux/4.4.6/../../../../lib64/crt1.o: In function `_start’: (.text+0x20): undefined reference to `main’ collect2: ld returned 1 exit status 我试图将fftw()函数导入SystemVerilog 。 这是我的代码 #include #include #include #include #include void fftw(double FFT_in[],int size) { double *IFFT_out; int i; fftw_complex *middle; fftw_plan fft; fftw_plan ifft; middle = (fftw_complex*) fftw_malloc(sizeof(fftw_complex)*size); IFFT_out = (double *) malloc(size*sizeof(double)); fft = fftw_plan_dft_r2c_1d(size, FFT_in, middle, FFTW_ESTIMATE); //Setup […]

在系统verilog代码中集成fftw C函数调用

我在linux系统上成功安装了fftw C库。 以下是有关fftw c => http://www.fftw.org/的更多信息。我有一个示例C代码,可以成功调用fftw C函数。 下面是一个C ccode和命令来运行C代码:代码: #include #include #include #include #include int main(void) { double FFT_in[] = {0.1, 0.6, 0.1, 0.4, 0.5, 0, 0.8, 0.7, 0.8, 0.6, 0.1,0}; double *IFFT_out; int i,size = 12; fftw_complex *middle; fftw_plan fft; fftw_plan ifft; middle = (fftw_complex*) fftw_malloc(sizeof(fftw_complex)*size); IFFT_out = (double *) malloc(size*sizeof(double)); fft = fftw_plan_dft_r2c_1d(size, […]