Tag: verilog

比较数字然后得到中值

使用按位或比较运算符对五个整数进行排序可以通过首先得到最高数,然后是第二高,然后是第三个来实现,依此类推。 以下是获取最高编号的代码: #include int main() { int a, b, c, d, e; int aa, bb, cc, dd, ee; a = 4; b = 2; c = 5; d = 1; e = 3; aa = (a > b) ? ((a > c) ? ((a > d) ? ((a > e) ? a : e) : […]